WAFER SENSORS

The Instrumented Wafer (Thermocouples, Bonded Wafer or RTDs) finds application in semiconductor processing equipment where knowing and controlling the temperature at the surface of a wafer is critical.

Thermo Electric’s instrumented Wafers are being used in many industries including applications such as Rapid Thermal Processing (RTP), Rapid Thermal Annealing (RTA), Post Exposure Bake (PEB), Chemical Vapor Deposition (CVD), Physical Vapor Deposition (PVD), ION Implantation, Solar Cell, and many other thermally driven processes.

Thermo Electric has the expertise to design and install our thermocouples on a large range of substrates including Silicon, AlTiC, Glass, Ceramic and other supplied Bare,
Coated, or Patterned substrates. Other custom substrates, shapes and sizes available.

GET A CUSTOM SOLUTION

Fill out our tailored quote form for your specific requirements : QUOTE FORM

For more information about our Instrumented Wafers innovative solutions, please contact us at: sales@te-direct.com

 

Instrumented Wafers Data Acquisition Solution – TEDAQ

Thermo Electric’s data acquisition (TEDAQ) and temperature mapping software tools allow for accurate capture and analysis of temperature data of any type of instrumented wafers.

The TEDAQ provides fully integrated, multipoint temperature measurement on the instrumented wafer assemblies.

Our TEDAQ offers a hardware and software embedded solution which can be easily adopted to any size wafer and up to 64 thermocouple inputs.

TEDAQ Software is compatible with latest Windows operating systems.

For any inquiries or questions regarding our TEDAQ Solutions please contact us at: sales@te-direct.com

 

TC-350H — High Performance — Temperature Range (-50°C to 350°C) 

The TC-350H uses the smallest possible sensing elements to reduce thermal mass and increase the response time of each sensor. The material used to fabricate the sensors is carefully chosen for the highest possible accuracy and greatest sensor to sensor uniformity.

This design finds application where knowing and controlling the temperature at the surface of a silicon wafer is critical. Most manufacturers embed their sensors within the wafer’s core. This product focuses its measurement at the wafer’s surface where the most vital processes occur. In using this product you can expect faster and more accurate response times resulting from the most accurate placement of the sensing elements.

While these products are typically used throughout the semiconductor industry, this technology can also be used to measure the temperature uniformity of any other two dimensional surface.

The TC-350 wafer products employ Thermocouple technology to produce the most accurate and reliable readings.

 

TC-350D — Heavy Duty — Temperature Range (-50°C to 350°C)

The TC-350D uses the most rugged components to increase the lifespan of these often fragile products. The material used to fabricate the sensors is carefully chosen for the highest possible accuracy and greatest sensor to sensor uniformity.

This design finds application where knowing and controlling the temperature at the surface of a silicon wafer is critical. Most manufacturers embed their sensors within the wafer’s core. This product focuses its measurement at the wafer’s surface where the most vital processes occur. In using this product you can expect faster and more accurate response times resulting from the most accurate placement of the sensing elements.

While these products are typically used throughout the semiconductor industry, this technology can also be used to measure the temperature uniformity of any other two dimensional surface.

The TC-350 wafer products employ Thermocouple technology to produce the most accurate and reliable readings.

TC-700H — High Performance — Temperature Range (-50°C to 700°C)

The TC-700H uses the smallest possible sensing elements to reduce thermal mass and increase the response time of each sensor. The material used to fabricate the sensors is carefully chosen for the highest possible accuracy and greatest sensor to sensor uniformity.

This design finds application where knowing and controlling the temperature at the surface of a silicon wafer is critical. Most manufacturers embed their sensors within the wafer’s core. This product focuses its measurement at the wafer’s surface where the most vital processes occur. IN using this product you can expect faster and more accurate response times resulting from the most accurate placement of the sensing elements.

While these products are typically used throughout the semiconductor industry, this technology can also be used to measure the temperature uniformity of any other two dimensional surface.

The TC-700 wafer products employ Thermocouple technology to produce the most accurate and reliable readings.

 

TC-700D — Heavy Duty — Temperature Range (-50°C to 700°C)

The TC-700D uses the most rugged components to increase the lifespan of these often fragile products. The material used to fabricate the sensors is carefully chosen for the highest possible accuracy and greatest sensor to sensor uniformity.

This design finds application where knowing and controlling the temperature at the surface of a silicon wafer is critical. Most manufacturers embed their sensors within the wafer’s core. This product focuses its measurement at the wafer’s surface where the most vital processes occur. IN using this product you can expect faster and more accurate response times resulting from the most accurate placement of the sensing elements.

While these products are typically used throughout the semiconductor industry, this technology can also be used to measure the temperature uniformity of any other two dimensional surface.

The TC-700 wafer products employ Thermocouple technology to produce the most accurate and reliable readings.

TC-1200 — High Temperature / High Purity — Temperature Range (-50°C to 1,200°C)

The TC-1200 takes advantage of a very unique attachment method to allow for extremely high temperature operation. This method does not employ the use of bonding agent. Instead, the silicon is laser welded to hold the sensor in place.

Not only does this allow for high temperature operation, but it also creates one of the cleanest designs available. By eliminating the bonding agents there is no possibility of out gassing or other undesirable conditions.

This design finds application where knowing and controlling the temperature at the surface of a silicon wafer is critical. Most manufacturers embed their sensors within the wafer’s core. This product focuses its measurement at the wafer’s surface where the most vital processes occur. In using this product you can expect faster and more accurate response times resulting from the most accurate placement of the sensing elements.

While these products are typically used throughout the semiconductor industry, this technology can also be used to measure the temperature uniformity of any other two dimensional surface.

The TC-1200 wafer products employ Thermocouple technology to produce the most accurate and reliable readings.

BTC700H — Low Profile / High Response —  Max Temp 700°C

The BTC-700H offers a low profile and exact vertical alignment of a mated wafer pair to assure quick and accurate response. This product will respond to the static and dynamic temperature changes occurring in typical wafer bonding processes.

The BTC700 finds application in wafer bonding equipment where knowing and controlling temperature uniformity across mating silicon wafer surfaces is required. MEMS, MOEMS, silicon-on-insulator (SOI), wafer level packaging, and 3 dimensional chip stacking are the main technical categories where wafer bonding is employed.

Bonded Wafers can be fabricated from any wafer diameter so that a specific bonding process can be followed as close as technically possible. As a user of this product, you can expect rapid, accurate, and reliable response to temperature changes that occur during the wafer bonding processes.

 

RTD — Low Temperature / High Accuracy — Max Temp 240°C

The Instrumented Wafer RTDs operate under the principle that the electrical resistance of certain metals increases or decreases in a repeatable and predictable manner with a temperature range.

Capable of higher accuracy and increased stability than thermocouple wafers, RTD’s instrumented wafers provide additional options for monitoring of semiconductor fabrication equipment.

The ability of the RTDs sensors to maintain initial accuracy over an extended period of time provides repeatability in temperature measurements.

Profile & Spike Thermocouples

Profile and spike thermocouples are critical in the temperature control of semiconductor processes such as diffusion furnaces used in doping process.

Thermo Electric has developed a large range of expertise in precious metal (Type B, Type S, Type R) thermocouples and manufactures state of the art quality spike and profile that can be trusted by the most rigorous semiconductor processes.

For any inquiries or questions regarding our spike & profile thermocouples please contact us at: sales@te-direct.com